Fast resist-activation dosimetry for extreme ultra-violet lithography

Opt Express. 2017 Mar 6;25(5):4621-4631. doi: 10.1364/OE.25.004621.

Abstract

Due to the rather broad band emission spectrum of the extremely hot plasma in its extreme ultra-violet (EUV) source, an EUV lithography scanner also projects out-of-band vacuum- and deep-UV (OoB V/DUV) light on the photoresist on a wafer. As this type of uncontrolled and undesirable light can activate resist chemistry, it will impair the critical dimension uniformity of the patterns, especially across the borders of the fields. Hence, OoB V/DUV quantification technology is required in the pre-production phase. For this reason, the systematic characterization of the EUV-source emission spectrum and the spatial profile of the light as projected on the wafer is indispensable to sustain stable integrated circuit production with EUV lithography. This paper introduces an in-band EUV and OoB V/DUV dosimetry method that is based on enhanced energy sensitivity by resist contrast (EESRC). This dosimetry method is applied in an EUV lithography tool to quantitatively analyze the spatial distribution the resist activation by in-band EUV and OoB V/DUV light, under several exposure conditions. This pragmatic approach can replace the current best-practice of measuring the full spectrum of an EUV light source.