Gas sensors characterization and multilayer perceptron (MLP) hardware implementation for gas identification using a Field Programmable Gate Array (FPGA)

Sensors (Basel). 2013 Mar 1;13(3):2967-85. doi: 10.3390/s130302967.

Abstract

This paper develops a primitive gas recognition system for discriminating between industrial gas species. The system under investigation consists of an array of eight micro-hotplate-based SnO2 thin film gas sensors with different selectivity patterns. The output signals are processed through a signal conditioning and analyzing system. These signals feed a decision-making classifier, which is obtained via a Field Programmable Gate Array (FPGA) with Very High-Speed Integrated Circuit Hardware Description Language. The classifier relies on a multilayer neural network based on a back propagation algorithm with one hidden layer of four neurons and eight neurons at the input and five neurons at the output. The neural network designed after implementation consists of twenty thousand gates. The achieved experimental results seem to show the effectiveness of the proposed classifier, which can discriminate between five industrial gases.

MeSH terms

  • Algorithms*
  • Equipment Design*
  • Gases / classification
  • Gases / isolation & purification*
  • Humans
  • Industry
  • Neural Networks, Computer
  • Signal Processing, Computer-Assisted

Substances

  • Gases